Positions open in my company:
Sorry for the long post but its detailed. If you are interested PM me.
1: Sr Staff Firmware(WPAN):08-10003,08-10004,08-10005,
Job Requirements :
Specific duties include,
1:Keep multiple Bluetooth PC programs on track by providing firmware design, development, and debug support.
2: Design and implement FW to meet specific customer needs.
3:Integrate Bluetooth wireless solutions into customer systems.
4: Accurately diagnose issues that arise within customer system architectures.
5:Interact with application engineering and test & Verification departments.
Job Describtion:
With a pure digital CMOS approach and excellent blocking performance, Broadcom's Bluetooth® chipsets and system solutions provide the technology to make wireless personal area networking a reality. Providing radio frequency, baseband, system and complete software support to OEMs and system integrators, Broadcom's Bluetooth chips enable the wireless sharing of data among scores of electronic devices, from mobile phones and wireless stereo headsets to PDAs and automobiles. We are currently recruiting talented engineers to develop firmware and support the Bluetooth wireless applications. In this unique position you will function as a firmware engineer involved with the technologies of Bluetooth RF Silicon Solutions and Bluetooth Firmware Solutions.
In this unique position you will function as a firmware engineer involved with the technologies of Bluetooth RF Silicon Solutions and Bluetooth Firmware Solutions. Specific duties include, but are not limited to:
1:Keep multiple Bluetooth PC programs on track by providing firmware design, development, and debug support.
2: Design and implement FW to meet specific customer needs.
3:Integrate Bluetooth wireless solutions into customer systems.
4:Accurately diagnose issues that arise within customer system architectures.
5:Interact with application engineering and test & Verification departments.
Experience Requirements:
1: Masters MSEE, MSCS plus at least 4 years embedded firmware development experience or BSCS/BSEE plus at least 6 years experience.
2:Proficient in the use of the C or C++ programming language.
3:Bluetooth or other wireless technology experience is highly desirable.
4:USB driver experience is highly desirable.
5: Experience with PCs and embedded RTOS
6: Experience with developing and debugging embedded systems using any/all of the following debug tools: emulators, debug-monitors, digital logic-analyzers, O-Scope, protocol-analyzers.
7: Understandin.g of digital baseband, RF, and wireless protocols (Bluetooth, 802.11, WiMax) is highly desirable
2: Sr Staff Software Development(WPAN):-08-10006,08-10007,08-10008
Job Requirements :
As a member of team of engineers supporting our Microsoft® Windows® and Windows® Mobile based Bluetooth® software products you will:
1:Maintain existing products and features
2:Implement new features and applications for Windows® desktop/notebook and Windows® Mobile platforms
3:Troubleshoot and identify solutions to problems reported by our OEMs
Experience Requirements:
BSCS/BSEE with 9 years of software development experience or an MSCS/MSEE with 6 years development experience.
1:Minimum 5 years industry experience in Windows® software development using C/C++
2:Experience developing software using Windows® Visual Studio
3:Strong written and verbal communication skills
4:Excellent problem solving skills
5:The ability to work with minimal supervision both individually and collaboratively in a team environment that spans multiple time zones
6:Willingness to put in whatever effort is required to complete assignments within schedule
7:xperience developing Bluetooth® profiles or applications desirable
8:Experience developing Windows® user interfaces a plus
9:Experience developing Windows® Mobile applications a plus
10:Experience with data communications or telephony protocols a plus
3:Firmware Design Engineer Position-Video-BBE-08-10179
Job Requirements:
1:Knowledge of atleast one video standards (MPEG2/AVC/H.264/VC-1) is a must. 2:Very good knowledge in C programming is required.
3:Familiarity with atleast one processor assembly code is strongly preferred.
4:Good knowledge in microprocessor concepts like interrupts semaphores etc is preferred.
5:Earlier experience on working on video encoders/decoders (codecs) is a plus.
6:Having system level knowledge of STB system, a plus.
Experience Requirements:
1:MS or BS (ECE/EE) with about 5 years experience.
2:Excellent academic track record from 10th standard/SSLC onwards.
4:Firmware Design Engineer-Audio-BBE-08-10045
Minimum Educational qualification: B.E./B.Tech, M.E/M.Tech/M.S preferable )
Should have experience in at least one complete project life-cycle Should have experience in dealing with customers Should have prior experience in debugging system level issues.
Good Knowledge of C and assembly language programming.
Good knowledge of any DSP processor architecture
Should have taken a course on DSP basics.
Should be good at scripting using Tcl /Perl or any other scripting language.
Should have worked on any audio codec.
Should be familiar with any version control system.
Should possess good verbal/written communication, need to be self-motivated, need to take full ownership of the task.The person would be working on the following:-Audio codec development
-Top-level integration
-Bring-up of features on simulator and silicon
-Validation of test-suite on silicon
The person would be working on the following:
-Audio codec development
-Top-level integration
-Bring-up of features on simulator and silicon
-Validation of test-suite on silicon
5:WLAN-Application Engineering Group
Job Requirements:
1: Experience in development for embedded software and experience with low level system software and/or device drivers.
2: Proficient in C.
3: Experience in Windows Mobile/CE and/or Linux Driver development is required.
4: Experience in debugging embedded software
5: Experience in the use of Source Code Control Management Systems and Bug tracking systems.
6: Good communication and documentation skills are required
7: Experience working with customer
8:Exposure to other OS including RTOS is a plus
9: Experience in SD protocol is a plus
10: Experience writing application level code is a plus
11:Experience with WLAN (IEEE 802.11) is a plus
Experience Requirements
Graduate or postgraduate in Electronics Engineering with Two to five years of experience.
6:Engineer Principal Software Test(ESP)08-09910
We are currently hiring talented software engineers in the Secure processor Group.
In this role you will be responsible for designing test strategy, maintaining, testing, and documenting features of secure processor software.
Job Requirements:
1: Designing and implementing software test suits including device drivers for different modules of secure processors
2:Work with IC team for architecture definition , verification
3: Designing and developing stress tests and performance profiling software
4: Document the Test Reports and present to the development and marketing team
5:Build and Release process
Experience Requirements:
1:10+ years of experience
2:Expert-level programming skills in C and x86 Assembly language
3:Thorough understanding of Linux Kernel Architecture
4:Thorough understanding of Linux Operating System Architecture and run-time environment
5:Expert-level test design and coding skills in implementing and debugging linux kernel
6:Extensive experience working with Linux Kernel modules and drivers.
7:ARM architecture experience must
8:Ethernet / USB /NAND experience a plus
9:experience with linux 2.6 plus
10:Knowledge of computer architecture - DMA, Interrupts, Memory management, Cache management is required
11:Good communication and documentation skills are required.
12:Experience with perforce a plus
7:Physical Design Engineer(ESP)
Job Describtion:
This person will be working on full chip integration of multi-million
gate high-performance SOCs, starting from netlist, to floorplanning, down
to detailed place and route.
Job Requirements:
1: Should have worked on full chip integration and should have familiarity with the full chip development cycle to be able to work with designers.
2:Should be very conversant with any floorplanning and place and route tools. Knowledge of magma is a plus; Astro/PC or equiv are also acceptable.
3:Should have hands-on experience with
4:clock tree synthesis
5:static timing analysis and closure
6arasitic extraction
7:signal integrity analysis and closure (crosstalk noise/delay)
8:Reliability analysis and closure (IR drop, electromigration, antenna, ESD)
9:Physical verification and resolution of issues in the latest technology nodes
10:Knowledge of DFT concepts is very desirable
11:Familiarity with different packaging technologies and their effect on chip integration is desirable
12:Basic programming skills like perl, shell, tcl etc.
8:Software Developement Engineer(BSE)
External requirements:
Seeking an individual to provide technical lead to a dedicated software engineering team focused on Audio/ Video device drivers.
Must be capable of leading multiple device projects and capable of delivering on time.
Will be able to motivate the team members. Should be able to conduct conference calls and communicate effectively with multi cultural teams
Job requirements:
The candidate should have a Bachelor's or Master's degree in Electrical/Electronics Engineering with 3 to 6 years hands on experience in Software development
Proven technical core competency in developing Device drivers, API Libraries and experience with Multimedia digital video/Audio Software is desired.
Should have significant knowledge in Set top Box or DVD System level application. Background in Set Top Box, DVD, Multimedia deices software highly desirable.
Should have expertise in C programming, complex software design, implementation.
Should be able to own a block responsibility, should be able to handle complex issues, analyse and provides solutions.
Should be a good team lead .Good communication, teamwork, self-motivation and drive required
Last edited by ramki067 : 1st September 2008 at 12:15.
|